Publications related to VLSI/CAD [Home]

Conferences

  • ASP-DAC (ACM/IEEE Asian South Pacific Design Automation Conference)
  • CICC (IEEE Custom Integrated Circuits Conference)
  • DAC (ACM/IEEE Design Automation Conference)
  • DATE (Design, Automation and Test in Europe)
  • FCCM (IEEE Symposium on Custom Computing Machines)
  • FPGA-12 (ACM Int'l Symposium on Field Programmable Gate Arrays)
  • GLVLSI (ACM Great Lakes Symposium on VLSI)
  • ICCAD (IEEE/ACM Int'l Conference on Computer-Aided Design)
  • ICCD(IEEE Int'l Conference on Computer Design)
  • ISCAS-12 (IEEE Int'l Symposium on Circuits and Systems)
  • ISQED (ACM/IEEE International Symposium on Quality Electronic Design)
  • ISLPED (Int'l Symposium on Low Power Electronics and Design)
  • ISPD (ACM Int'l Symposium on Physical Design)
  • SOCC (International SOC Conference)
  • VLSI-DAT (International Symposium on VLSI Design, Automation and Test)

On the conference website, you might download some papers. Proceedings of all of these conferences are included in IEEE Xplore or ACM Digital Library

Journals

Created: 2012 September,   [Home]